[source]

Class uvm_queue

uvm_pkg::uvm_queue <T> + type_name : string + convert2string(): string + create(): uvm_object + delete(): void + do_copy(): void + get(): T + get_global(): T + get_global_queue(): this_type + get_type_name(): string + insert(): void + pop_back(): T + pop_front(): T + push_back(): void + push_front(): void + size(): int

Inheritance Diagram of uvm_queue

CLASS

uvm_queue #(T)

Implements a class-based dynamic queue. Allows queues to be allocated on demand, and passed and stored by reference.

Parameters

Name

Default value

Description

T

int

Variables

Name

Type

Description

type_name

string

Typedefs

Name

Actual Type

Description

this_type

uvm_queue#(T)

Constructors

function new ( string name ) [source]

Creates a new queue with the given name .

Functions

static function this_type get_global_queue ( ) [source]

Returns the singleton global queue for the item type, T.

This allows items to be shared amongst components throughout the verification environment.

static function T get_global ( int index ) [source]

Returns the specified item instance from the global item queue.

virtual function T get ( int index ) [source]

Returns the item at the given index .

If no item exists by that key, a new item is created with that key and returned.

virtual function int size ( ) [source]

Returns the number of items stored in the queue.

virtual function void insert ( int index, int item ) [source]

Inserts the item at the given index in the queue.

virtual function void delete ( int index ) [source]

Removes the item at the given index from the queue; if index is not provided, the entire contents of the queue are deleted.

virtual function T pop_front ( ) [source]

Returns the first element in the queue (index=0), or null if the queue is empty.

virtual function T pop_back ( ) [source]

Returns the last element in the queue (index=size()-1), or null if the queue is empty.

virtual function void push_front ( int item ) [source]

Inserts the given item at the front of the queue.

virtual function void push_back ( int item ) [source]

Inserts the given item at the back of the queue.

virtual function uvm_object create ( string name ) [source]

virtual function string get_type_name ( ) [source]

virtual function void do_copy ( uvm_object rhs ) [source]

virtual function string convert2string ( ) [source]