[source]

Class uvm_in_order_built_in_comparator

uvm_pkg::uvm_in_order_built_in_comparator <T> + type_name : string + get_object_type(): uvm_object_wrapper + get_type(): type_id + get_type_name(): string

Inheritance Diagram of uvm_in_order_built_in_comparator

CLASS

uvm_in_order_built_in_comparator #(T)

This class uses the uvm_built_in_* comparison, converter, and pair classes. Use this class for built-in types (int, bit, string, etc.)

Parameters

Name

Default value

Description

T

int

Variables

Name

Type

Description

type_name

string

Typedefs

Name

Actual Type

Description

this_type

uvm_in_order_built_in_comparator#(T)

Constructors

function new ( string name, uvm_component parent ) [source]

Functions

virtual function string get_type_name ( ) [source]