[source]

Class uvm_by_level_visitor_adapter

uvm_pkg::uvm_by_level_visitor_adapter <STRUCTURE, VISITOR> + accept(): void

Inheritance Diagram of uvm_by_level_visitor_adapter

This uvm_by_level_visitor_adapter traverses the STRUCTURE s (and will invoke the visitor) in a hierarchical fashion. During traversal will visit all direct children of s before all grand-children are visited.

Parameters

Name

Default value

Description

STRUCTURE

uvm_component

VISITOR

uvm_visitor

Constructors

function new ( string name ) [source]

Functions

virtual function void accept ( uvm_component s, uvm_visitor#(uvm_component) v, uvm_structure_proxy#(uvm_component) p, bit invoke_begin_end ) [source]