[source]

Class uvm_pool

uvm_pkg::uvm_pool <KEY, T> + type_name : string + add(): void + create(): uvm_object + delete(): void + do_copy(): void + do_print(): void + exists(): int + first(): int + get(): T + get_global(): T + get_global_pool(): this_type + get_type_name(): string + last(): int + next(): int + num(): int + prev(): int uvm_pkg::uvm_object_string_pool <T> <KEY : string, T : T>

Inheritance Diagram of uvm_pool

CLASS

uvm_pool #(KEY,T)

Implements a class-based dynamic associative array. Allows sparse arrays to be allocated on demand, and passed and stored by reference.

Parameters

Name

Default value

Description

KEY

int

T

uvm_void

Variables

Name

Type

Description

type_name

string

Typedefs

Name

Actual Type

Description

this_type

uvm_pool#(KEY, T)

Constructors

function new ( string name ) [source]

Creates a new pool with the given name .

Functions

static function this_type get_global_pool ( ) [source]

Returns the singleton global pool for the item type, T.

This allows items to be shared amongst components throughout the verification environment.

static function T get_global ( int key ) [source]

Returns the specified item instance from the global item pool.

virtual function T get ( int key ) [source]

Returns the item with the given key .

If no item exists by that key, a new item is created with that key and returned.

virtual function void add ( int key, uvm_void item ) [source]

Adds the given ( key , item ) pair to the pool. If an item already exists at the given key it is overwritten with the new item .

virtual function int num ( ) [source]

Returns the number of uniquely keyed items stored in the pool.

virtual function void delete ( int key ) [source]

Removes the item with the given key from the pool.

virtual function int exists ( int key ) [source]

Returns 1 if an item with the given key exists in the pool, 0 otherwise.

virtual function int first ( int key ) [source]

Returns the key of the first item stored in the pool.

If the pool is empty, then key is unchanged and 0 is returned.

If the pool is not empty, then key is key of the first item and 1 is returned.

virtual function int last ( int key ) [source]

Returns the key of the last item stored in the pool.

If the pool is empty, then 0 is returned and key is unchanged.

If the pool is not empty, then key is set to the last key in the pool and 1 is returned.

virtual function int next ( int key ) [source]

Returns the key of the next item in the pool.

If the input key is the last key in the pool, then key is left unchanged and 0 is returned.

If a next key is found, then key is updated with that key and 1 is returned.

virtual function int prev ( int key ) [source]

Returns the key of the previous item in the pool.

If the input key is the first key in the pool, then key is left unchanged and 0 is returned.

If a previous key is found, then key is updated with that key and 1 is returned.

virtual function uvm_object create ( string name ) [source]

virtual function string get_type_name ( ) [source]

virtual function void do_copy ( uvm_object rhs ) [source]

virtual function void do_print ( uvm_printer printer ) [source]