[source]

Class uvm_reg_mem_access_seq

uvm_pkg::uvm_reg_mem_access_seq + type_name : string + __m_uvm_field_automation(): void + body() + create(): uvm_object + get_object_type(): uvm_object_wrapper + get_type(): type_id + get_type_name(): string + reset_blk()

Inheritance Diagram of uvm_reg_mem_access_seq

Verify the accessibility of all registers and memories in a block by executing the uvm_reg_access_seq and uvm_mem_access_seq sequence respectively on every register and memory within it.

Blocks and registers with the NO_REG_TESTS or the NO_REG_ACCESS_TEST attribute are not verified.

Constructors

function new ( string name ) [source]

Tasks

virtual function body ( ) [source]

virtual function reset_blk ( uvm_reg_block blk ) [source]

Any additional steps required to reset the block and make it accessibl