[source]

Class uvm_report_phase

uvm_pkg::uvm_report_phase + type_name : string + exec_func(): void + get(): uvm_report_phase + get_type_name(): string

Inheritance Diagram of uvm_report_phase

Report results of the test.

uvm_bottomup_phase that calls the uvm_component::report_phase method.

Upon Entry

  • Test is known to have passed or failed.

Typical Uses

  • Report test results.

  • Write results to file.

Exit Criteria

  • End of test.
Variables

Name

Type

Description

type_name

string

Functions

virtual function void exec_func ( uvm_component comp, uvm_phase phase ) [source]

static function uvm_report_phase get ( ) [source]

Returns the singleton phase handle

virtual function string get_type_name ( ) [source]