[source]

Class uvm_mem_shared_access_seq

uvm_pkg::uvm_mem_shared_access_seq + mem : uvm_mem + type_name : string + __m_uvm_field_automation(): void + body() + create(): uvm_object + get_object_type(): uvm_object_wrapper + get_type(): type_id + get_type_name(): string

Inheritance Diagram of uvm_mem_shared_access_seq

Verify the accessibility of a shared memory by writing through each address map then reading it via every other address maps in which the memory is readable and the backdoor, making sure that the resulting value matches the written value.

If bit-type resource named "NO_REG_TESTS", "NO_MEM_TESTS", "NO_REG_SHARED_ACCESS_TEST" or "NO_MEM_SHARED_ACCESS_TEST" in the "REG::" namespace matches the full name of the memory, the memory is not tested.

uvm_resource_db#(bit)::set({"REG::",regmodel.blk.mem0.get_full_name()},
                           "NO_MEM_TESTS", 1, this);

The DUT should be idle and not modify the memory during this test.

Variables

Name

Type

Description

mem

uvm_mem

The memory to be tested

Constructors

function new ( string name ) [source]

Tasks

virtual function body ( ) [source]